智能热水器设计毕业论文

2026/1/15 9:24:24

附录A:VHDL语言源程序 library IEEE;

use IEEE.std_logic_1164.all; entity biyelunwen is port (

sw_test : in std_logic_vector(2 downto 1);--水位监测 wd_test : in std_logic; --接单片机 监测温度 clk0 : in std_logic; --系统时钟 10khz

wd_contrl : out std_logic_vector(2 downto 1); --继电器的控制端 ceshi:out std_logic;

sw_xianshi : out std_logic;--接单片 单片获热水位信息并在LCD1602上显示 sw_contrl : out std_logic--接电磁阀 ); end biyelunwen;

architecture exp2hdl_arch of biyelunwen is signal tsw_test:std_logic_vector(2 downto 1); begin

Pzhu:process(clk0,sw_test,wd_test) begin

tsw_test<=sw_test; ceshi<='1';

if clk0'event and clk0='1' then if wd_test='1' then wd_contrl<=\ else wd_contrl<=\ end if; case tsw_test is when \

when \ when \

when \为一时表示水位正常 when others=>null; end case; end if;

end process Pzhu; end exp2hdl_arch;

34

附录B: C51语言程序主程序名及头文件名 Mainbylw.c reg51.h disp12864.h fyd12864.h scan4X4.h

ds1302andlcd12864.h ds1302.h shuiwei.h

ds18b20andlcd12864.h intrins.h ds18b20ok.h

35

致 谢

经过将近一个学期的努力,基于FPGA器件的智能热水器设计终于顺利完成。导师包本刚老师渊博的专业知识,严谨的治学态度,精益求精的工作作风,诲人不倦的高尚师德,严以律己、宽以待人的崇高风范,朴实无华、平易近人的人格魅力对自己的人生和学业都有很深远的影响。在设计中指导老师不仅在技术上给以了细心指导,更重要的是注重培养自己的创新意识和提高自己的创新能力,尽量然自己去独立解决设计方面的问题,当遇到自己实在无法解决的问题的,包老师会极其认真和耐心的给自己讲解。同时同组的几个同学们的细心、耐心、和热情帮助,他们在设计过程中也给了我很多帮助,让我能及时的取长补短,更快的去解决一些难题。在此,首先感谢学校和系部给了自己一个平台,尤其感谢系部给我提供了设计所需要的FPGA实验箱,更感谢包老师以及帮助我的所有的人。在本次毕业设计中我从选题开始,经过搜集资料、编写程序、硬件组装调试、整个系统的软件调试、实物测试等阶段,比较系统地全面地接触到了工程设计的许多具体环节,受益非浅。实物设计基本实现了课题设计要求的全部功能,通过本次设计使我加深了对模拟电路及电子设计的更深的了解,增强了对电路分析及解决问题的能力,为以后的工作实践积累了许多经验。同时也使我更进一步认识到对工作认真负责,对同事团结协作的重要性。

36


智能热水器设计毕业论文.doc 将本文的Word文档下载到电脑
搜索更多关于: 智能热水器设计毕业论文 的文档
相关推荐
相关阅读
× 游客快捷下载通道(下载后可以自由复制和排版)

下载本文档需要支付 10

支付方式:

开通VIP包月会员 特价:29元/月

注:下载文档有可能“只有目录或者内容不全”等情况,请下载之前注意辨别,如果您已付费且无法下载或内容有问题,请联系我们协助你处理。
微信:xuecool-com QQ:370150219